Search Results for author: Partha Pratim Pande

Found 7 papers, 1 papers with code

Dataflow-Aware PIM-Enabled Manycore Architecture for Deep Learning Workloads

no code implementations28 Mar 2024 Harsh Sharma, Gaurav Narang, Janardhan Rao Doppa, Umit Ogras, Partha Pratim Pande

However, as the complexity of Deep convolutional neural networks (DNNs) grows, we need to design a manycore architecture with multiple ReRAM-based processing elements (PEs) on a single chip.

FARe: Fault-Aware GNN Training on ReRAM-based PIM Accelerators

no code implementations19 Jan 2024 Pratyush Dhingra, Chukwufumnanya Ogbogu, Biresh Kumar Joardar, Janardhan Rao Doppa, Ananth Kalyanaraman, Partha Pratim Pande

Experimental results demonstrate that FARe framework can restore GNN test accuracy by 47. 6% on faulty ReRAM hardware with a ~1% timing overhead compared to the fault-free counterpart.

SETGAN: Scale and Energy Trade-off GANs for Image Applications on Mobile Platforms

no code implementations23 Mar 2021 Nitthilan Kannappan Jayakodi, Janardhan Rao Doppa, Partha Pratim Pande

The key idea behind SETGAN for an image generation task is for a given input image, we train a GAN on a remote server and use the trained model on edge devices.

Image Generation SSIM +1

An Energy-Aware Online Learning Framework for Resource Management in Heterogeneous Platforms

no code implementations20 Mar 2020 Sumit K. Mandal, Ganapati Bhat, Janardhan Rao Doppa, Partha Pratim Pande, Umit Y. Ogras

To address this need, system-on-chips (SoC) that are at the heart of these devices provide a variety of control knobs, such as the number of active cores and their voltage/frequency levels.

Imitation Learning Management

Learning-based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems

1 code implementation20 Oct 2018 Biresh Kumar Joardar, Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu

Our results show that these generalized 3D NoCs only incur a 1. 8% (36-tile system) and 1. 1% (64-tile system) average performance loss compared to application-specific NoCs.

Machine Learning and Manycore Systems Design: A Serendipitous Symbiosis

no code implementations30 Nov 2017 Ryan Gary Kim, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu

Tight collaboration between experts of machine learning and manycore system design is necessary to create a data-driven manycore design framework that integrates both learning and expert knowledge.

BIG-bench Machine Learning

Cannot find the paper you are looking for? You can Submit a new open access paper.