Search Results for author: Sharad Malik

Found 3 papers, 1 papers with code

Combined Scheduling, Memory Allocation and Tensor Replacement for Minimizing Off-Chip Data Accesses of DNN Accelerators

no code implementations30 Nov 2023 Yi Li, Aarti Gupta, Sharad Malik

We propose an optimization framework, named COSMA, for mapping DNNs to an accelerator that finds the optimal operator schedule, memory allocation and tensor replacement that minimizes the additional data accesses.

Neural Architecture Search Scheduling

Instruction-Level Abstraction (ILA): A Uniform Specification for System-on-Chip (SoC) Verification

1 code implementation3 Jan 2018 Bo-Yuan Huang, Hongce Zhang, Pramod Subramanyan, Yakir Vizel, Aarti Gupta, Sharad Malik

In contrast to the pre-accelerator era, when the ISA played an important role in verification by enabling a clean separation of concerns between software and hardware, verification of these "accelerator-rich" SoCs presents new challenges.

Hardware Architecture

Constrained Sampling and Counting: Universal Hashing Meets SAT Solving

no code implementations21 Dec 2015 Kuldeep S. Meel, Moshe Vardi, Supratik Chakraborty, Daniel J. Fremont, Sanjit A. Seshia, Dror Fried, Alexander Ivrii, Sharad Malik

Constrained sampling and counting are two fundamental problems in artificial intelligence with a diverse range of applications, spanning probabilistic reasoning and planning to constrained-random verification.

Cannot find the paper you are looking for? You can Submit a new open access paper.